pySuite

ARMv7 Cortex-A72 testing with a BCM2711 Raspberry Pi 4 Model B Rev 1.2 and V3D 4.2 4GB on Raspbian 10 via the Phoronix Test Suite.

Compare your own system(s) to this result file with the Phoronix Test Suite by running the command: phoronix-test-suite benchmark 2011165-TIM-PYSUITE575
Jump To Table - Results

Statistics

Remove Outliers Before Calculating Averages

Graph Settings

Prefer Vertical Bar Graphs

Multi-Way Comparison

Condense Multi-Option Tests Into Single Result Graphs

Table

Show Detailed System Result Table

Run Management

Result
Identifier
View Logs
Performance Per
Dollar
Date
Run
  Test
  Duration
Python Suite 2-11
November 02 2020
  1 Day, 13 Hours, 58 Minutes
Only show results matching title/arguments (delimit multiple options with a comma):
Do not show results matching title/arguments (delimit multiple options with a comma):


pySuiteOpenBenchmarking.orgPhoronix Test SuiteARMv7 Cortex-A72 @ 1.50GHz (4 Cores)BCM2711 Raspberry Pi 4 Model B Rev 1.24096MB32GB SC32GV3D 4.2 4GBRaspbian 105.4.72-v7l+ (armv7l)LXDE 0.10.0X Server 1.20.4modesetting 1.20.42.1 Mesa 19.3.2GCC 8.3.0ext41920x1080ProcessorMotherboardMemoryDiskGraphicsOSKernelDesktopDisplay ServerDisplay DriverOpenGLCompilerFile-SystemScreen ResolutionPySuite BenchmarksSystem Logs- snd_bcm2835.enable_compat_alsa=0 snd_bcm2835.enable_hdmi=1 snd_bcm2835.enable_headphones=1 - --build=arm-linux-gnueabihf --disable-libitm --disable-libquadmath --disable-libquadmath-support --disable-sjlj-exceptions --disable-werror --enable-bootstrap --enable-checking=release --enable-clocale=gnu --enable-gnu-unique-object --enable-languages=c,ada,c++,go,d,fortran,objc,obj-c++ --enable-libstdcxx-debug --enable-libstdcxx-time=yes --enable-multiarch --enable-nls --enable-objc-gc=auto --enable-plugin --enable-shared --enable-threads=posix --host=arm-linux-gnueabihf --program-prefix=arm-linux-gnueabihf- --target=arm-linux-gnueabihf --with-arch=armv6 --with-default-libstdcxx-abi=new --with-float=hard --with-fpu=vfp --with-gcc-major-version-only --with-target-system-zlib -v - Scaling Governor: cpufreq-dt ondemand- Python 2.7.16 + Python 3.7.3

pySuitenumpy: cython-bench: pybench: Total For Average Test Timespyperformance: gopyperformance: 2to3pyperformance: chaospyperformance: floatpyperformance: nbodypyperformance: pathlibpyperformance: raytracepyperformance: json_loadspyperformance: crypto_pyaespyperformance: regex_compilepyperformance: python_startuppyperformance: django_templatepyperformance: pickle_pure_pythonnumenta-nab: EXPoSEnumenta-nab: Relative Entropynumenta-nab: Windowed Gaussiannumenta-nab: Earthgecko Skylinenumenta-nab: Bayesian Changepointmlpack: scikit_svmscikit-learn: Python Suite 2-1125.23103.29152281.371.86376096041482.76143572591.0644.33682.831178.696378.840210.8162759.3271366.13244.20212.226OpenBenchmarking.org

Numpy Benchmark

This is a test to obtain the general Numpy performance. Learn more via the OpenBenchmarking.org test page.

OpenBenchmarking.orgScore, More Is BetterNumpy BenchmarkPython Suite 2-11612182430SE +/- 0.07, N = 625.23

Cython benchmark

Stress benchmark tests to measure time consumed by cython code Learn more via the OpenBenchmarking.org test page.

OpenBenchmarking.orgSeconds, Fewer Is BetterCython benchmark 0.27Python Suite 2-1120406080100SE +/- 0.42, N = 20103.29

PyBench

This test profile reports the total time of the different average timed test results from PyBench. PyBench reports average test times for different functions such as BuiltinFunctionCalls and NestedForLoops, with this total result providing a rough estimate as to Python's average performance on a given system. This test profile runs PyBench each time for 20 rounds. Learn more via the OpenBenchmarking.org test page.

OpenBenchmarking.orgMilliseconds, Fewer Is BetterPyBench 2018-02-16Total For Average Test TimesPython Suite 2-1111002200330044005500SE +/- 12.34, N = 65228

PyPerformance

PyPerformance is the reference Python performance benchmark suite. Learn more via the OpenBenchmarking.org test page.

OpenBenchmarking.orgMilliseconds, Fewer Is BetterPyPerformance 1.0.0Benchmark: goPython Suite 2-110.30830.61660.92491.23321.5415SE +/- 0.00, N = 201.37

OpenBenchmarking.orgMilliseconds, Fewer Is BetterPyPerformance 1.0.0Benchmark: 2to3Python Suite 2-110.4050.811.2151.622.025SE +/- 0.00, N = 201.8

OpenBenchmarking.orgMilliseconds, Fewer Is BetterPyPerformance 1.0.0Benchmark: chaosPython Suite 2-11140280420560700637

OpenBenchmarking.orgMilliseconds, Fewer Is BetterPyPerformance 1.0.0Benchmark: floatPython Suite 2-11130260390520650SE +/- 0.55, N = 20609

OpenBenchmarking.orgMilliseconds, Fewer Is BetterPyPerformance 1.0.0Benchmark: nbodyPython Suite 2-11130260390520650SE +/- 0.53, N = 20604

OpenBenchmarking.orgMilliseconds, Fewer Is BetterPyPerformance 1.0.0Benchmark: pathlibPython Suite 2-11306090120150148

OpenBenchmarking.orgMilliseconds, Fewer Is BetterPyPerformance 1.0.0Benchmark: raytracePython Suite 2-110.6211.2421.8632.4843.105SE +/- 0.00, N = 202.76

OpenBenchmarking.orgMilliseconds, Fewer Is BetterPyPerformance 1.0.0Benchmark: json_loadsPython Suite 2-11306090120150143

OpenBenchmarking.orgMilliseconds, Fewer Is BetterPyPerformance 1.0.0Benchmark: crypto_pyaesPython Suite 2-11120240360480600SE +/- 1.91, N = 20572

OpenBenchmarking.orgMilliseconds, Fewer Is BetterPyPerformance 1.0.0Benchmark: regex_compilePython Suite 2-11130260390520650SE +/- 62.72, N = 60591.06

OpenBenchmarking.orgMilliseconds, Fewer Is BetterPyPerformance 1.0.0Benchmark: python_startupPython Suite 2-111020304050SE +/- 0.11, N = 2044.3

OpenBenchmarking.orgMilliseconds, Fewer Is BetterPyPerformance 1.0.0Benchmark: django_templatePython Suite 2-1180160240320400368

OpenBenchmarking.orgMilliseconds, Fewer Is BetterPyPerformance 1.0.0Benchmark: pickle_pure_pythonPython Suite 2-110.63681.27361.91042.54723.184SE +/- 0.00, N = 202.83

Numenta Anomaly Benchmark

Numenta Anomaly Benchmark (NAB) is a benchmark for evaluating algorithms for anomaly detection in streaming, real-time applications. It is comprised of over 50 labeled real-world and artificial timeseries data files plus a novel scoring mechanism designed for real-time applications. This test profile currently measures the time to run various detectors. Learn more via the OpenBenchmarking.org test page.

OpenBenchmarking.orgSeconds, Fewer Is BetterNumenta Anomaly Benchmark 1.1Detector: EXPoSEPython Suite 2-1130060090012001500SE +/- 16.18, N = 61178.70

OpenBenchmarking.orgSeconds, Fewer Is BetterNumenta Anomaly Benchmark 1.1Detector: Relative EntropyPython Suite 2-1180160240320400SE +/- 0.87, N = 6378.84

OpenBenchmarking.orgSeconds, Fewer Is BetterNumenta Anomaly Benchmark 1.1Detector: Windowed GaussianPython Suite 2-1150100150200250SE +/- 1.44, N = 6210.82

OpenBenchmarking.orgSeconds, Fewer Is BetterNumenta Anomaly Benchmark 1.1Detector: Earthgecko SkylinePython Suite 2-116001200180024003000SE +/- 53.50, N = 182759.33

OpenBenchmarking.orgSeconds, Fewer Is BetterNumenta Anomaly Benchmark 1.1Detector: Bayesian ChangepointPython Suite 2-1130060090012001500SE +/- 15.12, N = 61366.13

Mlpack Benchmark

Mlpack benchmark scripts for machine learning libraries Learn more via the OpenBenchmarking.org test page.

OpenBenchmarking.orgSeconds, Fewer Is BetterMlpack BenchmarkBenchmark: scikit_svmPython Suite 2-111020304050SE +/- 0.24, N = 644.20

Scikit-Learn

Scikit-learn is a Python module for machine learning Learn more via the OpenBenchmarking.org test page.

OpenBenchmarking.orgSeconds, Fewer Is BetterScikit-Learn 0.22.1Python Suite 2-1150100150200250SE +/- 1.06, N = 20212.23